Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Oil & Gas Jobs |
Banking Jobs |
Construction Jobs |
Top Management Jobs |
IT - Software Jobs |
Medical Healthcare Jobs |
Purchase / Logistics Jobs |
Sales |
Ajax Jobs |
Designing Jobs |
ASP .NET Jobs |
Java Jobs |
MySQL Jobs |
Sap hr Jobs |
Software Testing Jobs |
Html Jobs |
Qualification: BE / ME / B. Tech / M. Tech in EEE/ ECE/ EI/ CS Experience: 4 to 15 Years No of Position: 6+ Location: Bangalore Requirements: Good understanding of VHDL or System Verilog. Synth...
physical designrtl developmentdftrtllecdrcclpupfvhdlbistlintprimedesigncheckscadencerunningsynthesisprimetimeEquivalence Checkingconfmal lecQualification: BE / ME / B. Tech / M. Tech in EEE/ ECE/ EI/ CS Experience: 4 to 15 Years No of Position: 20+ (Including 2 Leads / 2 Experts) Location: Bangalore Preference: Candidate from semicon...
rtl designboundary scandftsocrtldfxatpgjtagscanverdidesignverilogspyglassboundaryRTL CodingNCSimAMBA AHBTiming Closuresystem integratsemiconductLint / CDC / Synthesis Qualification: BE / ME / B. Tech / M. Tech in EEE/ ECE/ EI/ CS Experience: 4 to 8 years No of Position: 4+ Location: Bangalore Requirements: Synthesis Constraints developm...
staecocdclinttimingchecksclosuresynthesisTiming ClosurePrimetimeClock Tree SynthesisLogic SynthesisPhysical DesignTimingPhysical SynthesisRTL CodingECRMCOsEOLPlaceRouteCyber Security V&V Sr. Engineer This section focuses on the main purpose of the job in one to four sentences. Baxter has long been on the forefront of developing innovative solut...
data loss preventionwhite boxapplication security testingcloud securityopen sourcecyber securityit securitytest driven developmentfips 1402Role: DevOps - Architect level Experience: 10+Years Location: Chennai Key Skills: - Hands-on experience in #AWS, Docker, Kubernetes - Hands-on experience in #Jenkins, Ansible, Linux admin Requirements...
perllinuxproofdevopsdockeransibledatabasesenterprisemaintenanceObject Oriented PerlDBITemplate ToolkitBioPerlSynopsys PrimetimeUniversal Verification MethodologyCshMooseBashApacheTCL* Title: Sr Engineer Physical Design About GLOBALFOUNDRIES GLOBALFOUNDRIES is a leading full-service semiconductor foundry providing a unique combination of design, deve...
continuous improvement facilitationic designrtl designrtl codingfield testchip designdesign flowmemory testmixed signalpeople skillstest coverageROLE & RESPONSIBILITIES
In this position, the individual will be responsible for providing technical leadership in the defining full chip Memory BIST and DFT methodology. Responsibilities will include complete ownership of f...
ppapproduct developmentapqpinspectiondocumentationasic designdft compilercomputer sciencecommunication skillstechnical leadershipThe candidate will be involved in the following activities: System Level Modeling for Architecture exploration, Performance exploration, SoC performance analysis, tradeoffs...
modeling toolsdata structuresmodel developmentcommercial modelsinternational conferencestlmsocstlbusocpeslmmuoopscachedesigncarbonsystemcanalysisperfmance analysisThe candidate will be involved in any of the following activities: Virtual Prototype development for SoC and Electronics Systems for the purpose of embedded software develop...
embedded software developmentembedded linuxdevice driversdata structuresequipment supplyproject executionassembly languageembedded softwarecommercial modelsquality processessoftware developmentprogramming
Job ID: JR*******
Job Category: Engineering
Primary Location: Bangalore, KA IN
Other Locations:
Job Type: Experienced Hire
SD (Physical Design) Engineer
Come join Intels Client Engineering Group responsible for designing Client SoCs that make up more than half of Intels annual revenue We envision the future of computing and...
drawingautocaddraftingmodelingcadclock tree synthesisstatic timing analysiscontinuous improvement facilitationchip designbusiness unitsphysical design
Job ID: JR*******
Job Category: Engineering
Primary Location: Bangalore, KA IN Other Locations:
Job Type: Experienced Hire Product Development Engineer
We are looking for Structural Design Engineers with strong RTL2GDSii Skill. Job responsibilities include Logic Synthesis, Floorplanning, Place and Route, Timing Analysis, Convergence, IR/EM analysis, ...
staad probuildingssiterccfoundationdesign flowtiming analysislogic synthesisstructural designbehavioral trainingformal verificationartificial intelligence* Global Foundries (GF) Bangalore is seeking a highly skilled and motivated semiconductor development engineer for an internship position . The student will work at GF, Bangalore on
We are urgently looking for Sr Endocrinologist (National Sales Head) for one of the reputed Pharma Company who will be based in Mumbai & looking for Pan India Sales & Marketing PFB the Synopsys of the...
salesmarketingbusiness developmentretailbudgetingpanpharmabusinessreportingendocrinologyaccountabilityDeferred CompensationsalesmarketingmedicalHuman Resources Information Systems HRISAt Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology.
Job ID: JR0191161 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Senior SOC Physical design EngineerJob Description floor planningdrcroutingverificationhardware description languageeda toolstiming closurephysical designlogic synthesisbehavioral trainingdesign verificationsemiconductor devicephyedatcl
Role: DevOps - Architect level Experience: 10+Years Location: Chennai Key Skills: - Hands-on experience in #AWS, Docker, Kubernetes - Hands-on experience in #Jenkins, Ansible, Linux admin Requirements...
perllinuxproofdevopsdockeransibledatabasesenterprisemaintenanceObject Oriented PerlDBITemplate ToolkitBioPerlSynopsys PrimetimeUniversal Verification MethodologyCshMooseBashApacheTCLWhat you do at AMD changes everything At AMD, we push the boundaries of what is possible. We believe in changing the world for the better by driving innovation in high-performance co...
timing analysisroutingoptimization strategiescad toolstechnical compliancephysical designstatic timing analysisfloor planningchanging the worldverificationphysical verificationclock tree synthesisdrcWhat you do at AMD changes everything At AMD, we push the boundaries of what is possible. We believe in changing the world for the better by driving innovation in high-performance co...
draftingchanging the worldphysical designautocaddesign compilermodelingtechnical compliancedrawingcadtiming closurephysical verificationcontrolled impedancementor graphicsJob ID: JR0180828 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Senior Physical Design EngineerJob Description apacheedafusioncontinuous improvement facilitationsupply chainphysical designtiming analysisconnected devicesclock distributionprocess developmentbehavioral trainingsemiconductor processcadencesynopsys
Skill Set: Proficiency with Linux, Perl and TCL is required Good problem solving and debugging skills Good communication skills and knowledge of customer interaction. Experience : 3 to 8 Years ...
atpgdftscansiliconproblem solvingcommercial modelscadence encountercommunication skillstclvcsperljtaglinuxverilogcadencescriptsmodelsimfeaturestetramaxCome join the Server Emulation Solutions team in Bangalore as a Emulation Engineer. In this role you will be working directly with the IP designers, Validation engineers, Firmware and SoC engineers to...
verificationvalidationrtl designdata centertest suitessystem verilogfpga prototypingcommercial modelsbehavioral trainingarchitectural designelectrical engineeringJob ID: JR0184156 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Soc Design Verification EngineerJob Description...
uvmartificial intelligencedesignnetworking solutionsverbal communicationasic designrtlvcsrtl designdata centerverificationengineering designdesign verificationfailure analysisproductivity toolsWhat you do at AMD changes everything At AMD, we push the boundaries of what is possible. We believe in changing the world for the better by driving innovation in high-performance co...
modelingsoftware designcommunication skillscaddraftingautocadchanging the worldeda toolsfront endcode coveragertl designdrawingfrontend designThe candidate will be involved in the following activities: System Level Modeling for Architecture exploration, Performance exploration, SoC performance analysis, tradeoffs analysis SystemC model deve...
modeling toolsdata structuresmodel developmentcommercial modelsinternational conferencestlmsocstlbusocpeslmmuoopscachedesigncarbonsystemcanalysisperfmance analysisThe candidate will be involved in any of the following activities: Virtual Prototype development for SoC and Electronics Systems for the purpose of embedded software development Developing SystemC/ TL...
embedded software developmentembedded linuxdevice driversdata structuresequipment supplyproject executionassembly languageembedded softwarecommercial modelsquality processessoftware developmentprogrammingPosition:Engineer (DFT) :- Hands on experience in various DFT aspects like Scan insertion, MBIST and JTAG, ATPG, Pattern validation at block level as w...
rtl compilerscan insertionmaxdftrtlatpgjtagscanpatterndebussygraphicsvalidationFastscanDFT CompilerEquivalence CheckingTetramaxBoundary ScanGate Level Simulationmentor graphicscadenceNXP SemiconductorsN.V. (NASDAQ: NXPI) enables secure connections for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in secure connectivity s...
front enddesign flowlevel designcode coveragesystem verilogpower integritylogic synthesiscommunication skillstechnical leadershipelectronics engineeringconnectivity solutionscsrJob ID: JR0184151 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Logic design engineerJob Description ...
diagnosticschip designlogic designmixed signalfftcontrollingdata centerphysical designproblem solvinghardware designgsmaccess managementcadenceJob ID: JR0184149 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Logic design engineerJob Description ...
fftmixed signaldiagnosticsdata centergsmlogic designchip designhardware designcontrollingaccess managementphysical designproblem solvingcadenceAs a leading Engineering and technology solutions company, Cyient s Semiconductor business unit is a key contributor to our success. With several blue-chip customers across the world, we are proud to ...
high speed designstatic timing analysisverificationdrcfloor planningchip designsynopsys toolsphysical designpower integrityroutingtiming analysisNXP SemiconductorsN.V. (NASDAQ: NXPI) enables secure connections for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in secure connectivity s...
floor planningdrcroutingverificationphysical designproblem solvingiotstaddrppanxplintflashdesignmobileplacerouteconnectivity solutionstclAbout Marvell At Marvell, we believe that infrastructure powers progress. That execution is as essential as innovation. That better collaboration builds better technology. Trus...
jtagscan insertionscanopen sourcesocarchitecture developmentcpuapplication supportcommunication skillsgate level simulationstaexceldftcloudcorearmatpgwrtsiliconNXP SemiconductorsN.V. (NASDAQ: NXPI) enables secure connections for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in secure connectivity s...
design flowdigital designphysical verificationhisiotqrclsfnxpupfpvsperlpythondesignmobilebackendenglishlowpower designconnectivity solutionstclSoc Design Verification EngineerJob Description The candidate will work as a member of a verification team, playing a key/leading role in developing Ethernet Network...
verificationuvmdesignfailure analysisdata centerasic designcode reviewcode coverageasic verificationcommercial modelsproductivity toolsThe Verification role will involve many aspects of Functional, Performance verification using most effective methodologies in context of Module/Subsystem/SoC /System Level. A strong ability t...
linuxandroidautomationframeworkroot causesystem verilogcache coherencyformal verificationproject administrationconnectivity solutionsNXP SemiconductorsN.V. (NASDAQ: NXPI) enables secure connections for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in secure connectivity s...
linuxandroidautomationframeworkroot causesystem verilogcache coherencyformal verificationproject administrationarmsocrtliotuvmusbmapaxilinconnectivity solutionsPhysical Design Engineers Primary Responsibilities and Requirements. BE / B.Tech / ME / M.Tech 3 years to 15 years. He / She should be able to do top - level floor planning , PG Planning , partitionin...
planningdrcroutingverificationclock tree synthesisstatic timing analysistiming closurephysical designtiming analysissignal integrityphysical verificationflosystem integratal communicationoptiWipro Technologies is looking for DFT Engineer. Experience: 2 Years + Notice Period -30days JD: The minimum engineering experience required is typically a BS degree in EE/CS with 2+ years Relevant...
failure analysisrtldftsettiminganalytical skillsatpgperlscriptsformal verificationscanvectorupfjtagstrong analytical skillssilicontiming analysisunixtclcadenceWipro Technologies is looking for DFT Engineer. Experience: 2 Years + Notice Period -30days JD: The minimum engineering experience required is typically a BS degree in EE/CS with 2+ years Relevant...
failure analysisrtldftsettiminganalytical skillsatpgperlscriptsformal verificationscanvectorupfjtagstrong analytical skillssilicontiming analysisunixtclcadencePosition:Engineer (DFT) :- Hands on experience in various DFT aspects like Scan insertion, MBIST and JTAG, ATPG, Pattern validation at block level as w...
rtl compilerscan insertionmaxdftrtlatpgjtagscanpatterndebussygraphicsvalidationFastscanDFT CompilerEquivalence CheckingTetramaxBoundary ScanGate Level Simulationmentor graphicscadenceNXP SemiconductorsN.V. (NASDAQ: NXPI) enables secure connections for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in secure connectivity s...
root cause analysisroot causecomputer scienceoperational supportbusiness innovationinformation systemsJob ID: JR0176390 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Sr. Physical Design EngineerJob Description...
floor planningdrcroutingverificationtest suiteslayout designcircuit designphysical designtest developmentdesign engineeringsystem integratorsproduct engineeringJob Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Product Development EngineerJob Description Responsible for e...
product developmentautocadinspectionsalesdesignrtl codingtest suitesmemory testlogic designboundary scansystem verilogtest equipmenttest engineeringcustomer returnsJob Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire Product Development EngineerJob Description In this position...
product developmentautocadinspectionsalesdesignrtl codingtest suitesmemory testboundary scansystem verilogtest engineeringcustomer returnstest developmentThe candidate will be involved in any of the following activities: Virtual Prototype development for SoC and Electronics Systems for the purpose of embedded software development Developing SystemC/ TL...
embedded software developmentembedded linuxdevice driversdata structuresequipment supplyproject executionassembly languageembedded softwarecommercial modelsquality processessoftware developmentprogrammingJob ID: JR0173633 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SOC Design EngineerJob Description In ...
artsynopsys primetimecadartificial intelligenceautocadmodelingeda toolsdrawingstatic timing analysisdata centerdraftingphysical designtiming analysisnetworking solutionsfront end
© 2020 Skillindia All Rights Reserved