Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Hyderabad Jobs |
Banglore Jobs |
Chennai Jobs |
Delhi Jobs |
Ahmedabad Jobs |
Mumbai Jobs |
Pune Jobs |
Vijayawada Jobs |
Gurgaon Jobs |
Noida Jobs |
Oil & Gas Jobs |
Banking Jobs |
Construction Jobs |
Top Management Jobs |
IT - Software Jobs |
Medical Healthcare Jobs |
Purchase / Logistics Jobs |
Sales |
Ajax Jobs |
Designing Jobs |
ASP .NET Jobs |
Java Jobs |
MySQL Jobs |
Sap hr Jobs |
Software Testing Jobs |
Html Jobs |
Qualification: BE / ME / B. Tech / M. Tech in EEE/ ECE/ EI/ CS Experience: 4 to 15 Years No of Position: 6+ Location: Bangalore Requirements: Good understanding of VHDL or System Verilog. Synth...
physical designrtl developmentdftrtllecdrcclpupfvhdlbistlintprimedesigncheckscadencerunningsynthesisprimetimeEquivalence Checkingconfmal lecAt Cadence, we hire and develop leaders and innovators who want to make an impact on the world of technology. Work closely with R&D on understanding customer design requirement...
validationdebuggingtest casescustomer relationsequivalence checkingrtlsdesigntiminganalysisfeaturesscriptingsynthesisspecificationsScan InsertionPhysical SynthesisPower EstimationintelcadenceIf you desire to be part of something special, to be part of a winning team, to be part of a fun team winning is fun. We are looking forward to Engineer - VAVE (Electron...
power managementcarbon emissionspower systemscircuit theoryhuman rightsheat sinksaltium designerpower electronicsboard of directorssystems designmodeling simulationDescription At Xilinx, we are leading the industry transformation to build an adaptable, intelligent world. ARE YOU bold, collaborative, and creative We develop le...
autocadcadauto caddraftingdrawingbig dataproblem solvingcommunication skillscommunity engagementedartltatpnrperlcareleapcontroltclxilinxempowerWe are looking for Structural Design Engineers with strong RTL2GDSii Skill. Job responsibilities include Logic Synthesis, Floorplanning, Place and Route, Timing Analysis, Convergence, IR/EM analysis, ...
staad probuildingssiterccfoundationdesign flowtiming analysislogic synthesisstructural designbehavioral trainingformal verificationartificial intelligenceJob ID: JR0185101 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: India, Hyderabad Job Type: Experienced Hire CAD EngineerJob Description autocadcadauto caddraftingdrawingcontinuous improvement facilitationbusiness unitsdesign engineeringbehavioral trainingformal verificationcommunication skillssoftware engineeringdesign specifications
Job ID: JR0185037 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire TFM EngineerJob Description Performs logic ...
front endasic designlogic designsystem verilogtiming closuredesign compilerbehavioral trainingelectrical engineeringedadftsocrtlleccdctfmperlipsFirstsource Process - NowTV chat/sky tv Qualification 10th/12th/Grad Experience Fresher/Experience Salary 17k ...
leceolcabpnrsalarybroadcast televisionhd videoecoreality televisionfield productionfeature filmscommercialsecnmedia productionecrdocumentariesmcosavid technology productsconformal lecNXP SemiconductorsN.V. (NASDAQ: NXPI) enables secure connections for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in secure connectivity s...
design flowdigital designphysical verificationhisiotqrclsfnxpupfpvsperlpythondesignmobilebackendenglishlowpower designconnectivity solutionstcl
Title Static Timing Analysis (STA) Bangalore 3-5yrs Exp Salary As per Industry standards Apply Now Categories Embedded Jobs Salary As per Industry Standards Total Yrs Of Experience Required 3-5yrs Job...
ppaverilogvhdlecosdftstaformal verificationsynthesisrtlperlstatic timing analysisphysical designclpshell scriptingddrtiming closuretiming analysisecodesigntcltiming constraintsJob Summary We seek Repair Technicians to perform routine tasks to ensure repair shop quality standards are met by calibration, testing, troubleshooting, and repair of parts, ...
videoavionicsmechanicalcommunication skillsertmsmicrosoft officefault isolationcircuit designrepairelectronic circuit designms officecomputer skillspower suppliesquality standardsairlinesengineering documentationoral communicationpulseJob Summary We seek Repair Technicians to perform routine tasks to ensure repair shop quality standards are met by calibration, testing, troubleshooting, and repair of parts, ...
videoavionicsmechanicalcommunication skillsertmsmicrosoft officefault isolationcircuit designrepairelectronic circuit designms officecomputer skillspower suppliesquality standardsairlinesengineering documentationoral communicationpulseJob Summary We seek Repair Technicians to perform routine tasks to ensure repair shop quality standards are met by calibration, testing, troubleshooting, and repair of parts, ...
videoavionicsmechanicalcommunication skillsertmsmicrosoft officefault isolationcircuit designrepairelectronic circuit designms officecomputer skillspower suppliesquality standardsairlinesengineering documentationoral communicationpulseJob Summary We seek Repair Technicians to perform routine tasks to ensure repair shop quality standards are met by calibration, testing, troubleshooting, and repair of parts, ...
videoavionicsmechanicalcommunication skillsertmsmicrosoft officefault isolationcircuit designrepairelectronic circuit designms officecomputer skillspower suppliesquality standardsairlinesengineering documentationoral communicationpulseJob Summary We seek Repair Technicians to perform routine tasks to ensure repair shop quality standards are met by calibration, testing, troubleshooting, and repair of parts, ...
videoavionicsmechanicalcommunication skillsertmsmicrosoft officefault isolationcircuit designrepairelectronic circuit designms officecomputer skillspower suppliesquality standardsairlinesengineering documentationoral communicationpulseJob Summary We seek Repair Technicians to perform routine tasks to ensure repair shop quality standards are met by calibration, testing, troubleshooting, and repair of parts, ...
videoavionicsmechanicalcommunication skillsertmsmicrosoft officefault isolationcircuit designrepairelectronic circuit designms officecomputer skillspower suppliesquality standardsairlinesengineering documentationoral communicationpulseJob Summary We seek Repair Technicians to perform routine tasks to ensure repair shop quality standards are met by calibration, testing, troubleshooting, and repair of parts, ...
videoavionicsmechanicalcommunication skillsertmsmicrosoft officefault isolationcircuit designrepairelectronic circuit designms officecomputer skillspower suppliesquality standardsairlinesengineering documentationoral communicationpulsePhysical Design Engineers (PD) Physical Design Engineers (PD) Job Function: Physical design engineers are ideally creative , motivated , energetic , pleasant to work with , and put the needs of the te...
floor planningdrcroutingverificationtiming closurephysical designcomputer sciencesignal integrityformal verificationcommunication skillsphysical verificationwritten communicationelectrical engineeringoptimization strategiessanedaRTL / Digital Design Engineers (RTL) Lead ASIC Verification Engineers [Ref:102] RTL / Digital Design Engineers (RTL) Job Function: RTL / Digital design engineers will design and implementation of SoC ...
cadencestatic timing analysisasicasic designdrcrtl designrtl codingdigital designtiming closurephysical designtiming analysiscomputer scienceasic verificationmobile multimediasystem integratorsformal verificationelectrical engineering
Hands on Logic equivalence checking /static signoff experience
Responsible in creating necessary setup and guidance flow for Conformal LEC/CLP flows
<...
Should be expert in Verilog Coding
Must have done Synthesis
Must have worked on Scan insertion
Should be familiar with Spyglass CDC/RDC
Should be able to mic...
scan insertionverilog codingrtlscanverilogspyglasssynthesisspecificationsFastscanDFT CompilerEquivalence CheckingTetramaxBoundary ScanGate Level SimulationAutomatic Test Pattern GenerationConformal LECBISTRTL CodingNCSimAMBA AHBRTL / Digital Design Engineers (RTL) Lead ASIC Verification Engineers [Ref:102] RTL / Digital Design Engineers (RTL) Job Function: RTL / Digital design engineers will design and implementation of SoC ...
cadencestatic timing analysisasicasic designdrcrtl designrtl codingdigital designtiming closurephysical designtiming analysiscomputer scienceasic verificationmobile multimediasystem integratorsformal verificationelectrical engineeringPhysical Design Engineers (PD) Physical Design Engineers (PD) Job Function: Physical design engineers are ideally creative , motivated , energetic , pleasant to work with , and put the needs of the te...
floor planningdrcroutingverificationtiming closurephysical designcomputer sciencesignal integrityformal verificationcommunication skillsphysical verificationwritten communicationelectrical engineeringoptimization strategiessaneda
RTL / Digital Design Engineers (RTL) Lead ASIC Verification Engineers [Ref:102] RTL / Digital Design Engineers (RTL) Job Function: RTL / Digital design engineers will design and implementation of SoC ...
cadencestatic timing analysisasicasic designdrcrtl designrtl codingdigital designtiming closurephysical designtiming analysiscomputer scienceasic verificationmobile multimediasystem integratorsformal verificationelectrical engineeringPhysical Design Engineers (PD) Physical Design Engineers (PD) Job Function: Physical design engineers are ideally creative , motivated , energetic , pleasant to work with , and put the needs of the te...
floor planningdrcroutingverificationtiming closurephysical designcomputer sciencesignal integrityformal verificationcommunication skillsphysical verificationwritten communicationelectrical engineeringoptimization strategiessanedaJob ID: JR0156189 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SOC Design EngineerJob Description Thi...
continuous improvement facilitationcustomer supportfpgadraftingbehavioral trainingvlsiedgecadmodelingdigital designcircuit theorysemiconductor manufacturingdrawingautocadreachrtlperltclintelJob ID: JR0156190 Job Category: Engineering Primary Location: Bangalore, KA IN Other Locations: Job Type: Experienced Hire SOC Design EngineerJob Description Thi...
autocaddraftingnetworking solutionsartificial intelligencecadcircuit theorydrawingdata centermodelingdigital designbehavioral training
Should be expert in Verilog Coding
Must have done Synthesis
Must have worked on Scan insertion
Should be familiar with Spyglass CDC/RDC
Should be able to mic...
scan insertionverilog codingrtlscanverilogspyglasssynthesisspecificationsFastscanDFT CompilerEquivalence CheckingTetramaxBoundary ScanGate Level SimulationAutomatic Test Pattern GenerationConformal LECBISTRTL CodingNCSimAMBA AHBpython laed in chennai 5.5 Years of Experience in Python with Mongo DB.Exp in Integrating with openstack cloud Platform is a must.Must be well verse...
ecocloudpythonopenstackintegrationECRMCOsEOLLECECNConformal LECPNREngineering ChangesPCNSoftware IndustryBusiness AlliancesFormal Equivalence Verification Engineer/LeadJob Description The Datacenter Graphics Products Group within the Graphics and Throughput Computing Hardware Engineering...
verificationuvmdesignfailure analysiseda toolsdata centerconformal lechardware engineeringedalecupfidealwritinghardwaresoftwaretclcadenceNXP Semiconductors N.V. (NASDAQ: NXPI) enables secure connections and infrastructure for a smarter world, advancing solutions that make lives easier, better, and safer. As the world leader in s...
design flowdigital designphysical verificationhisiotcdcqrclsfupfpvsperllintpythondesignmobilelowpower designconnectivity solutionstcl
Description
Description
Roles and Responsibilities
Company Profile: Western Digital Corporation is the world s largest data storage company with a leading portfolio of HGST, SanDisk, G-Technology and WD brands covering flash and disk-based s...
ppapproduct developmentasic designrtl compilerdft compilersystem verilogphysical designdesign compilercommunication skillswritten communicationintegration strategiesedadftrtlEssential Duties And Responsibilities: In this position, the individual will be responsible for complete ownership of full chip Synthesis /STA environment for low power, high performa...
asic designrtl compilerdft compilersystem verilogphysical designdesign compilercommunication skillswritten communicationintegration strategiesedadftrtlstaroaddesigntimingwritingverilogasicSOC Physical Design Lead (Timing Closure) Exp: 15-20 yrs Location: Hyderabad or Bangalore SoC Physical Design Lead: Lead PD ex...
redhawkphysical designicvprimetimeppacalibreconformaltfm choicespyglass-lpsignoff criteriaicc icciipower artistfloorplan packageCompany Profile: Western Digital Corporation is the world s largest data storage company with a leading portfolio of HGST, SanDisk, G-Technology and WD brands covering flash and disk-based s...
asic designrtl compilerdft compilersystem verilogphysical designdesign compilercommunication skillswritten communicationintegration strategiesKnowledge in complete EMS process ESD and method of taking measures at workplace . Capable of Giving training to the new operators in component identification, tools operations and soldering techni...
cycle time reductionproblem solvingscreen printingprint brokeringrecruitment consultingsmtisoesdrohsjigsfluxsprayyieldcoatingtrainingfixturessolderingviscosityoperatorsems© 2020 Skillindia All Rights Reserved